Welcome![Sign In][Sign Up]
Location:
Search - image vga

Search list

[Other resourceExp6-VGA

Description: 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
Platform: | Size: 682430 | Author: 萧飒 | Hits:

[VHDL-FPGA-Verilogvgaout

Description: VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
Platform: | Size: 7168 | Author: 余飞 | Hits:

[Windows Developsvgalib

Description: 绝对经典,LINUX下自己实现截面边趁个,很好的教学资料,快看-a classical LIUNX program for cutting image, a good teaching source
Platform: | Size: 331776 | Author: 兆彬 | Hits:

[Picture Viewer显示BMP图象的程序

Description: 640*480 256 色 .BMP 文件显示程序,可以浏览、同时显示 4 幅图象,也可以 在屏幕上开一个窗口显示 .BMP 图象,并可以使用上下左右箭头键、PageUp/PageDown、Ctrl+Left、Ctrl+Right键浏览整幅图象,在S3、ATI等VGA卡上 调试通过 -640* 480256 color. BMP file display program can browse, also showed four images on the screen can also open a window on the show. BMP images and can be used above and below the arrow keys, and Page/Prompt, Ctrl Left, Right keys Ctrl View the whole image in S3, ATI VGA cards such as debugging through
Platform: | Size: 4096 | Author: ll | Hits:

[VHDL-FPGA-VerilogExp6-VGA

Description: 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
Platform: | Size: 681984 | Author: 萧飒 | Hits:

[VHDL-FPGA-VerilogVGAimagecontrollor

Description: VGA图象显示控制器设计,实现在VGA显示器上显示图象.-VGA image display controller designed to achieve the VGA display shows images.
Platform: | Size: 1024 | Author: 刘叶 | Hits:

[DSP programvideo2vga

Description: 基于tms320c6416的图像实时采集显示系统,支持pal或ntfc式图像采集,vga方式输出-tms320c6416 based on the real-time image acquisition and display systems, support pal or ntfc Image Acquisition, vga output mode
Platform: | Size: 325632 | Author: zchh | Hits:

[BooksSTKProcessor

Description: DSC Processor,It support VGA to 5M Pixel Image to porcess.-DSC Processor, It VGA support to 5M Pixel Image to porcess.
Platform: | Size: 662528 | Author: 杨一 | Hits:

[VHDL-FPGA-VerilogSobel--Image_Filter_An_Image_filtering_VHDL

Description: Sobel--Image Filter (I). An Image filtering is made over data loaded into the on board RAM and presented on a VGA monitor.zip-Sobel-- Image Filter (I). An Image filteri Vi is made over the data loaded into RAM on board a nd presented on a VGA monitor.zip
Platform: | Size: 316416 | Author: 严刚 | Hits:

[VHDL-FPGA-VerilogImage_Filter_An_Image_halftone

Description: Image_Filter_An_Image_halftone is performed over data loaded into the on board RAM and presented on a VGA monitor-Image_Filter_An_Image_halftone is perf ormed over data loaded into the on board RAM and p resented on a VGA monitor
Platform: | Size: 824320 | Author: 严刚 | Hits:

[VHDL-FPGA-VerilogS3Demo

Description: Spartan 3 Digilent Demo:This demo drives the perphrials on the Spartan 3 board. This drives a simple pattern to the VGA port, connects the switches to the LEDs, buttons to each anode of the seven segment decoder. The seven segment decoder has a simple counter running on it, and when SW0 is in the up position the seven segment decoder will display scan codes from the PS2 port. This demo how ever does not drive the RS-232 port or the memory. This is a simple design done entirely VHDL not microblaze.
Platform: | Size: 731136 | Author: Roy Hsu | Hits:

[Other Embeded program816

Description: 9054+ep1c6+ad9887a VGA 图像采集完整版原理图-9054+ Ep1c6+ Ad9887a VGA image capture the full version of Schematic
Platform: | Size: 96256 | Author: zxsa | Hits:

[Graph programvga

Description: 基于DE2板子的,VGA 图像显示,采用verilog语言-Based on the DE2 board, VGA image display, using Verilog language
Platform: | Size: 1024 | Author: 张梦 | Hits:

[Graph program111186722VGAcontroler_for_Sopc_Builder

Description: vga图像彩条vhdl程序设计,希望对大家有所帮助!-image vga color VHDL programming, want to be helpful to everyone!
Platform: | Size: 95232 | Author: catherine | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。-VGA color signal controller design: using VHDL programming language, focusing on the completion of three functions: 1. Chessboard grid pattern shows that: The principle of three-color display on the CRT display by eight color横竖overlapping grid consisting of checkerboard patterns 2. followed by the display on display 0 ~ 9 10 figure: Each figure in different colors, each show around 0.4 seconds, circular display 3. show animation effects: static image to display a high frequency, resulting in animation effects, dynamic display finally OVER The End .
Platform: | Size: 186368 | Author: 刘峰 | Hits:

[VHDL-FPGA-VerilogAvalon_VGA

Description: vga显示彩色图像ip,alter开发板-vga display color image,vhdl,quartus
Platform: | Size: 13312 | Author: licheng | Hits:

[GDI-BitmapVGA-image-storage-and-recovery

Description: VGA图像的存储与恢复:介绍三种不但可有效地存取VGA整屏图像,而且能实现存取任 意大小图块的方法及其Turbo C函数。-VGA image storage and recovery
Platform: | Size: 10240 | Author: lsccls | Hits:

[Embeded-SCM Developvga

Description: 能实现彩色品目的图像显示,里面有三幅图像,可以自动变换-Items to achieve color image display, which has three images, you can automatically transform
Platform: | Size: 621568 | Author: songyaqiong | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于FPGA,QUARTUSS||开发环境下的简单图像显示控制-Based on FPGA, QUARTUSS | | development environment simple image display control
Platform: | Size: 616448 | Author: sujiebin | Hits:

[VHDL-FPGA-Verilog最新VGA时序标准

Description: 基于FPGA的图像处理时序标准,支持HDMI VGA DVI接口,非常有用。(FPGA-based image processing timing standard, support HDMI VGA DVI interface, very useful.)
Platform: | Size: 909312 | Author: 王月月 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net